Cooperative Boosting: Needy Versus Greedy Power Management

Cooperative Boosting: Needy Versus Greedy Power Management

I. Paul, S. Manne, M. Arora,  W. L. Bircher and S. Yalamanchili. “Cooperative Boosting: Needy Versus Greedy Power Management.” IEEE/ACM International Symposium on Computer Architecture (ISCA-2013). June 2013.

Abstract

This paper examines the interaction between thermal management techniques and power boosting in a state-of-the-art heterogeneous processor consisting of a set of CPU and GPU cores. We show that for classes of applications that utilize both the CPU and the GPU, modern boost algorithms that greedily seek to convert thermal headroom into performance can interact with thermal coupling effects between the CPU and the GPU to degrade performance. We first examine the causes of this behavior and explain the interaction between thermal coupling, performance coupling, and workload behavior. Then we propose a dynamic power-management approach called cooperative boosting (CB) to allocate power dynamically between CPU and GPU in a manner that balances thermal coupling against the needs of performance coupling to optimize performance under a given thermal constraint. Through real hardware-based measurements, we evaluate CB against a state-of-the-practice boost algorithm and show that overall application performance and power savings increase by 10% and 8% (up to 52% and 34%), respectively, resulting in average energy efficiency improvement of 25% (up to 76%) over a wide range of benchmarks.

Download

Citation

@inproceedings{paul-isca2013,
author={I. Paul, S. Manne, M. Arora,  W. L. Bircher and S. Yalamanchili},
booktitle={IEEE/ACM International Symposium on Computer Architecture (ISCA-2013)},
title={Cooperative Boosting: Needy Versus Greedy Power Management},
year={2013},
month={June},
}