You are being redirected to http://casl.gatech.edu/publications/kitfox-multi-physics-libraries-for-integrated-power-thermal-and-reliability-simulations-of-multicore-microarchitecture/